User:BrookeCP/sandbox

From Wikipedia, the free encyclopedia

Atomic layer deposition (ALD) is a thin film deposition technique that is based on the sequential use of a gas phase chemical process. The majority of ALD reactions use two chemicals, typically called precursors. These precursors react with the surface of a material one at a time in a sequential, self-limiting, manner. Through the repeated exposure to separate precursors, a thin film is slowly deposited.

Introduction[edit]

‘’’Atomic Layer Deposition’’’ (ALD) is the thin film deposition process which applies self-limiting or sequentially self-terminating films via chemical vapor deposition. ALD uses chemicals called precursors and alternating surface reactions to grow self-limiting layers of film. By repeating the process of growing individual layers, thin films can be applied to surfaces. Some advantages of a self-limiting film include uniform surfaces, high conformity to surface features, high control and accuracy of atomic level thickness, and high reproducibility. ALD does have some limitations including incomplete reaction and slow rate of reaction. [1] Research is still being conducted to more completely understand the process of ALD and how to improve it.

A basic schematic of the Atomic Layer Deposition (ALD) process. In Frame A, precursor 1 (in blue) is added to the reaction chamber containing the material surface to be coated by ALD. After precursor 1 has adsorbed to the surface, any excess is removed from the reaction chamber. Precursor 2 (red) is added (Frame B) and reacts with precursor 1 to create another layer on the surface (Frame C). Precursor 2 is then cleared from the reaction chamber and this process is repeated until a desired thickness is achieved and the resulting product resembles Frame D.

History of ALD[edit]

The principle of ALD was first published under the name “Molecular Layering” (ML) in the early 1960s by Prof. S.I. Kol’tsov from the Leningrad (Lensovet) Technological Institute (LTI). These ALD experiments were conducted under the scientific supervision of a corresponding member of the USSR Academy of Sciences Prof. V.B. Aleskovskii. The concept of the ALD process was first proposed by Prof. V.B. Aleskovskii in his Ph.D. thesis published in 1952.[2][3][4] It was the work of Dr Tuomo Suntola and coworkers in Finland in mid-1970s that made the scientific idea a true thin film deposition technology and took that into an industrial use and worldwide awareness. After starting with elemental precursors (hence the name ‘atomic’) they were forced to convert to molecular precursors to expand the materials selection. Suntola and coworkers also developed reactors that enabled the implementation of the ALD technology (at that time called atomic layer epitaxy (ALE)[5][6] into an industrial level in the manufacturing of thin film electroluminescent (TFEL) flat-panel displays. These displays served as the original motivation for developing the ALD technology as they require high quality dielectric and luminescent films on large-area substrates, something that was not available at the time. TFEL display manufacturing was started in the mid-1980s and was, for a long time, the only industrial application of ALD. Interest in ALD has increased in steps in the mid-1990s and 2000s, with the interest focused on silicon-based microelectronics. ALD is considered one deposition method with great potential for producing very thin, conformal films with control of the thickness and composition of the films possible at the atomic level. A major driving force for the recent interest is the prospective seen for ALD in scaling down microelectronic devices. In 2004, the European SEMI award was given to Dr Tuomo Suntola for inventing the ALD technology and introducing it worldwide. A recent review on the History of ALD has been published in 2013 - “History of atomic layer deposition and its relationship with the American Vacuum Society (AVS)”.[7] The article focuses on how ALD developed within the AVS and continues to evolve through interactions made possible by the AVS, in particular, the annual International AVS ALD Conference. In addition, a virtual project on the early history of ALD has been started in 2013 by a group of scientists.[8]

ALD Surface Reaction Mechanisms[edit]

ALD is similar to other common deposition techniques such as chemical vapor deposition (CVD) and molecular beam epitaxy (MBE) in that for binary reactions, two reactants A and B are present which react to form a product or products. This binary reaction is denoted simplistically as A + B --> Product [9] . When a solid surface is exposed to a gas phase, the gas molecules adsorb to the surface due to various intermolecular forces that attract the molecules to the surface. In ALD, enough time must be allowed in each reaction step so that a full adsorbtion density can be achieved. [10] he rate of adsorption can be expressed as a function of the rate of molecules arriving at the surface and the fraction of the molecules that undergo adsorption. Therefore, the rate of adsorption per unit of surface area can be expressed as:

Where R is the rate of adsorption, S is the sticking probability, and F is the incident molar flux. [11]

A fundamental difference between ALD and other deposition techniques lies in the method in which the reactants are exposed to the substrate. In ALD, the reactants A and B are individually exposed to the surface, allowing for a sequential layering process to occur. A plethora of reaction mechanisms exist for depositing desired films; the only requirement for a reaction mechanism to be viable for ALD is that the reactants A and B must be applied separately for a binary reaction. Amongst the most useful reaction mechanisms or techniques are Thermal ALD, Catalytic ALD, and ALD via Elimination Chemistry. Each reaction mechanism is advantageous for various sets of chemical precursors. For example, Catalytic ALD is useful for depositing dielectric SiO2[12]

ALD Reaction Mechanisms Summary Table
Type of ALD Temperature Range Viable Precursors Reactants Applications
Catalytic ALD >32 deg C with Lewis Base Catalyst [12] Metal oxides (i.e. TiO2, ZRO2,SnO22) [12] (Metal)Cl4, H2O [12] High k-dielectric layers, protective layers, anti-reflective layers, etc. [12]
Al2O3 ALD 30 – 200 deg C [12] Al2O3, metal oxides [13] (Metal)Cl4, H2O, Ti(OiPr)4, (Metal)(Et)2 [12] Dielectric layers, insulating layers, etc., Solar Cell surface passivations [13]
Metal ALD Using Thermal Chemistry 175 - 400 deg C [14] Metal Fluorides, organometallics, catalytic metals [14] M(C5H5)2, (CH3C5H4)M(CH3)3 ,Cu(thd)2, Pd(hfac)2, Ni(acac)2, H2 [14] Conductive pathways, catalytic surfaces, MOS devices [14]
ALD on polymers 25 – 100 deg C [12] Common polymers (Polyethylene, PMMA, PP, PS, PVC, PVA, etc.)[12] Al(CH3)3, H2O, M(CH3)3 [12] Polymer surface functionalization, creation of composites, diffusion barriers, etc.[12]
ALD on particles Varies: 25 – 100 deg C for polymer particles, 100 – 400 deg C for metal/alloy particles [12] BN, ZrO2, CNTs, polymer particles Various gases: utilization of rotary reactor is very important to ensure fluidation of particles [12] Deposition of protective and insulative coatings, optical and mechanical property modification, formation of composite structures, conductive mediums
Plasma or Radical-enhanced ALD for single element ALD materials 450 – 800 deg C [12] Pure metals (i.e. Ta, Ti, Si, Ge, Ru, Pt), metal nitrides (i.e. TiN, TaN, etc.) [12] Organometallics, MH2Cl2, terbutylimidotris(diethylamido)tantalum (TBTDET), bis(ethylcyclopentadienyl)ruthenium), NH3 [12] DRAM structures, MOSFET and semiconductor devices, capacitors [15]


Thermal Al2O3 ALD[edit]

Initiating a chemical reaction between reactants so as to modify existing surface properties requires overcoming an activation energy inherent to any chemical reaction. Thus, applying heat is an excellent way of facilitating surface reactions which allow for the formation of thin films in a stepwise fashion. Thermal ALD can be used to deposit a wide variety of binary metal oxides such as Al2O3, TiO2, ZnO, ZrO2, HfO2, and Ta2O5; the deposition of Al2O3 is fairly common and will herein be discussed. The most common temperature in performing Al2O3 ALD is 177°C.[12] It should be noted that the rate of chemical reaction and hence the rate of deposition in ALD is proportional to the flow of reactive gases, conventionally described by the Boltzmann Equation:

where f(x, v, t) is the gas density distribution function that describes the scaled probability density that a molecule is at position x = (x1, x2) with velocity v = (v1, v2) at time t .[16]

The ALD reaction is commenced by pulsing one of the two reactant species. Certain amounts of trimethylaluminum (TMA) and H2O vapor exist in separate gas chambers and TMA is pulsed first. TMA becomes exposed and chemisorbed on the substrate surface and any remaining TMA not chemisorbed is purged out of the chamber by a high vacuum pump. Secondly, CH4 forms as a byproduct of the reaction and the –CH{{sub|3} groups from the TMA are reacted with and replaced by Oxygen from introduced H2O vapor. The final step in the production of a single Al2O3 monolayer is the desorption of H2O from the surface via system purging. Prior experiments have found evidence of the loss of AlOH* species and the gain of AlCH3 species during the reaction with TMA. [12] On the contrary, the loss of AlCH3 species and gain of AlOH* species is confirmed in the reaction with H2O. These aforementioned reaction schemes will be described in the chemical equations and diagrams to follow.

Primary Reactions at Surface:
AlOH* + Al(CH3)3 --> AlOAl(CH3)2* + CH4 (1)
AlCH3* + H2O --> AlOH* + CH4 (2)
Overall ALD Reaction for Al2O3:
2Al(CH3)3 + 3H2O --> Al2O3 + 3CH4 ∆H = -376kcal (3)
Proposed Mechanism for Al2O3 ALD during the a) TMA reaction b) H2O reaction

Catalytic SiO2 ALD[edit]

The use of catalysts is of paramount importance in delivering reliable methods of SiO2 ALD. Without catalysts, surface reactions leading to the formation of SiO2 are generally very slow and only occur at exceptionally high temperatures. Typical catalysts for SiO2 ALD include Lewis bases such as NH3 or pyridine and SiO2 ; ALD can also be initiated when these Lewis bases are coupled with other silicon precursors such as tetraethoxysilane (TEOS)[12]. Hydrogen bonding is believed to occur between the Lewis base and the SiOH* surface species or between the H2O based reactant and the Lewis base. Oxygen becomes a stronger nucleophile when the Lewis base hydrogen bonds with the SiOH* surface species because the SiO-H bond is effectively weakened. As such, the electropositive Si atom in the SiCl4 reactant is more susceptible to nucleophilic attack. Similarly, hydrogen bonding between a Lewis base and an H2O reactant make the electronegative O in H2O a strong nucleophile that is able to attack the Si in an existing SiCl* surface species[17]. It should be noted that the use of a Lewis base catalyst is more or less a requirement for SiO2 ALD, as without a Lewis base catalyst, reaction temperatures must exceed 325°C and pressures must exceed 103 torr. Generally, the most favorable temperature to perform SiO2 ALD is at 32°C and a common deposition rate is 1.35 Angstroms per binary reaction sequence. Two surface reactions for SiO2 ALD, an overall reaction, and a schematic illustrating Lewis base catalysis in SiO2 ALD are provided below.

Primary Reactions at Surface:
SiOH* + SiCl4--> SiOSiCl3* + HCl (4)
SiCl* + H2O --> SiOH* + HCl (5)
Overall ALD Reaction:
SiCl4 + 2H2O --> SiO2 + 4HCl (6)
Proposed Mechanism of Lewis base catalysis of SiO2 ALD during a) an SiCl4 reaction and b) an H2O reaction

Metal ALD[edit]

Metal ALD via elimination reactions most commonly occurs when metals functionalized with halogens (i.e. metal fluorides) are reacted with silicon precursors. Common metals deposited using fluorosilane elimination reactions are tungsten and molybdenum because the respective elimination reactions for these metals are highly exothermic[18] For Tungsten ALD, Si-H and W-F entities exist on the material’s surface prior to the final purging process, and a linear deposition rate of W has been observed per each AB reactant cycle. Typical growth rates per cycle for Tungsten ALD are 4 to 7 Angstroms and typical reaction temperatures are 177°C to 325°C. Two surface reactions, as well as an overall ALD reaction for tungsten ALD, are presented below. A multitude of other metals can be deposited by ALD via the reactions below if their reaction sequences are based on fluorosilane elimination.

Primary Reactions at Surface:
WSiF2H* + WF6--> WWF5* + SiF3H (7)
WF5* + Si2H6 --> WSiF2H* + SiF3H + 2H2 (8)
Overall ALD Reaction:
WF6 + Si26 --> W + SiF3H + 2H2 ∆H = -181kcal (9)

ALD Usage[edit]

ALD can be used for a great deal of applications. Some of the main fields that ALD is used for are microelectronics and biomedical applications. Details about these applications are outlined in the following sections.

Microelectronics Applications[edit]

ALD is a useful process for the fabrication of microelectronics due to its ability to produce accurate thicknesses and uniform surfaces in addition to high quality film production using various different materials. In microelectronics, ALD is studied as a potential technique to deposit high-k (high permittivity) gate oxides, high-k memory capacitor dielectrics, ferroelectrics, and metals and nitrides for electrodes and interconnects. In high-k gate oxides, where the control of ultra thin films is essential, ALD is only likely to come into wider use at the 45 nm technology. In metallizations, conformal films are required; currently it is expected that ALD will be used in mainstream production at the 65 nm node. In dynamic random access memories (DRAMs), the conformality requirements are even higher and ALD is the only method that can be used when feature sizes become smaller than 100 nm.[19] Several products that use ALD include magnetic recording heads, MOSFET gate stacks, DRAM capacitors, nonvolatile ferroelectric memories, and many others.

Gate oxides[edit]

Deposition of the high-k oxides Al2O3, ZrO2, and HfO2 has been one of the most widely examined areas of ALD. The motivation for high-k oxides comes from the problem of high tunneling current through the commonly used SiO2 gate dielectric in metal-oxide-semiconductor field-effect transistors (MOSFETs) when it is downscaled to a thickness of 1.0 nm and below. With the high-k oxide, a thicker gate dielectric can be made for the required capacitance density, thus the tunneling current can be reduced through the structure.

Intel Corporation has reported using ALD to deposit high-k gate dielectric for its 45 nm CMOS technology.[20]

Transition-metal nitrides[edit]

Transition-metal nitrides, such as TiN and TaN find potential use both as metal barriers and as gate metals. Metal barriers are used in modern Cu-based chips to avoid diffusion of Cu into the surrounding materials, such as insulators and the silicon substrate, and also, to prevent Cu contamination by elements diffusing from the insulators by surrounding every Cu interconnection with a layer of metal barriers. The metal barriers have strict demands: they should be pure; dense; conductive; conformal; thin; have good adhesion towards metals and insulators. The requirements concerning process technique can be fulfilled by ALD. The most studied ALD nitride is TiN which is deposited from TiCl4 and NH3.[21]

Metal films[edit]

Motivations of an interest in metal ALD are:

  1. Cu interconnects and W plugs, or at least Cu seed layers[22] for Cu electrodeposition and W seeds for W CVD,
  2. transition-metal nitrides (e.g. TiN, TaN, WN) for Cu interconnect barriers
  3. noble metals for ferroelectric random access memory (FRAM) and DRAM capacitor electrodes
  4. high- and low-work function metals for dual-gate MOSFETs.

Magnetic Recording Heads[edit]

Magnetic recording heads utilize electric fields to polarize particles and leave a magnetized pattern on a hard disk. [23] Al2O3 ALD is used to create uniform, thin layers of insulation. [1] By using ALD, it is possible to control the insulation thickness to a high level of accuracy. This allows for more more accurate patterns of magnetized particles and thus higher quality recordings.

DRAM Capacitors[edit]

Dynamic random-access memory (DRAM) capacitors are yet another application of ALD. Individual DRAM cells can store a single bit of data and are comprised of a single MOS transistor and a capacitor. Major efforts are being put into reducing the size of the capacitor which will effectively allow for greater memory density. In order to change the capacitor size without affecting the capacitance, different cell orientations are being used. Some of these include stacked or trench capacitors. [24] With the emergence of trench capacitors, the problem of fabricating these capacitors comes into play, especially as the size of semiconductors decreases. ALD allows trench features to be scaled to beyond 100 nm. The ability to deposit single layers of material allows for a great deal of control over the material. Except for some issues of incomplete film growth (largely due to insufficient amount or low temperature substrates), ALD provides an effective means of depositing thin films like dielectrics or barriers. [25]

Biomedical Applications[edit]

Understanding and being able to specify the surface properties on biomedical devices is critical in the biomedical industry, especially regarding devices that are implanted in the body. A material interacts with the environment at its surface, so the surface properties largely direct the interactions of the material with its environment. Surface chemistry and surface topography affect protein adsorption, cellular interactions, and the immune response [26]

Some current uses in biomedical applications include creating flexible sensors, modifying nanoporous membranes, polymer ALD, and creating thin biocompatible coatings. ALD has been used to deposit TiO2 films to create optical waveguide sensors as diagnostic tools [27]. Also, ALD is beneficial in creating flexible sensing devices that can be used, for example, in the clothing of athletes to detect movement or heart rate. ALD is one possible manufacturing process for flexible organic field-effect transistors (OFETs) because it is a low-temperature deposition method [28].


Nanoporous materials are emerging throughout the biomedical industry in drug delivery, implants, and tissue engineering. The benefit of using ALD to modify the surfaces of nanoporous materials is that, unlike many other methods, the saturation and self-limiting nature of the reactions means that even deeply embedded surfaces and interfaces are coated with a uniform film. Nanoporous surfaces can have their pore size reduced further in the ALD process because the conformal coating will completely coat the insides of the pores. This reduction in pore size may be advantageous in certain applications [29].

Quality and Quality Control[edit]

The quality of an ALD process can be monitored using several different imaging techniques to make sure that the ALD process is occurring smoothly and producing a conformal layer over a surface. One option is cross-sectional SEM images or transmission electron microscopy (TEM) images, which allow for inspection at the micro and nano scale. High magnification of images is pertinent for assessing the quality of an ALD layer. XRR, or X-ray reflectivity, is a technique that measures thin-film properties including thickness, density, and surface roughness [30]. Another optical quality evaluation tool is spectroscopic ellipsometry (SE). Using SE in between the depositions of each layer added on by ALD provides information on the growth rate and material characteristics of the film can be assessed.[31]

Applying this analysis tool during the ALD process, sometimes referred to as in situ spectroscopic ellipsometry, allows for greater control over the growth rate of the films during the ALD process. This type of quality control occurs during the ALD process rather than assessing the films afterwards as in TEM imaging, or XRR. Additionally, Rutherford Backscattering Spectroscopy (RBS), X-Ray Photoelectron Spectroscopy (XPS), Auger Electron Spectroscopy (AES), and four-point probe (FPP) are some other techniques that can be used to provide quality control information with regards to thin films deposited by ALD.[31]

Advantages and Limitations[edit]

Advantages[edit]

ALD provides a very controlled method in which an atomically precise film to the desired thickness is produced. Also, the growth of different multilayer structures is straightforward. Due to the sensitivity and precision of the equipment, it is very beneficial to those in the field of microelectronics and nanotechnology in producing small, but efficient semiconductors. ALD is typically run at lower temperatures along with a catalyst which is thermochemically favored. The lower temperature is beneficial when working with fragile substrates, such as biological samples. Some precursors that are thermally unstable still may be used so long as their decomposition rate is relatively slow. [12].

Disadvantages[edit]

High purity of the substrates is very important, and as such, high costs will ensue (Stanford). Although this cost may not be much relative to the cost of the equipment needed, one may need to run several trials before finding conditions that favor their desired product. Once the layer has been made and the process is complete, there may be a requirement of needing to remove excess precursors from the final product. In some final products there are less than one percent of impurities present. [32]

Economic Viability[edit]

Atomic layer deposition instruments can range anywhere from $200,000 to $800,000 based on the quality and efficiency of the instrument. There is no set cost for running a cycle of these instruments; the cost varies depending on the quality and purity of the substrates used, as well as the temperature and time of machine operation. Some substrates are less available than others and require special conditions, as some are very sensitive to oxygen and may then increase the rate of decomposition. Multicomponent oxides and certain metals traditionally needed in the microelectronics industry are generally not cost efficient. [33].

Reaction Time[edit]

The process of ALD is very slow and this is known to be its major limitation. The average rate is 100-300nm h-1. ALD is typically used to produce substrates for microelectronics and nanotechnology, and therefore, thick atomic layers are not needed. Many substrates cannot be used because of their fragility or impurity. Impurities are typically found on the 0.1-1% atomic level because of some of the carrier gasses are known to leave residue and are also sensitive to oxygen. [32]

Chemical Limitations[edit]

Precursors must be volatile, but not subject to decomposition, as most precursors are very sensitive to oxygen/air, thus causing a limitation on the substrates that may be used. Some biological substrates are very sensitive to heat and may have fast decomposition rates that are not favored and yield larger impurity levels. There are a multitude of thin film substrate materials available, but the important substrates needed for use in microelectronics can be hard to obtain and may be very expensive. [32]

References[edit]

  1. ^ a b http://www.miics.net/archive/getfile.php?file=162
  2. ^ V. B. Aleskovskii, Zh. Prikl. Khim. 47, 2145 (1974); [J. Appl. Chem. USSR. 47, 2207, (1974)].
  3. ^ R.L. Puurunen, J. Appl. Phys. 97, 121301 (2005).
  4. ^ A.A. Malygin, J. Ind. Eng. Chem. Vol.12, No. 1, (2006) 1-11.
  5. ^ T. Suntola, J. Antson, U.S. Patent 4,058,430, 1977
  6. ^ T. Suntola, A. Pakkala, S. Lindfors, U.S. Patent 4,389,97, 1983
  7. ^ G. N. Parsons, J. W. Elam, S. M. George, S. Haukka, H. Jeon, W. M. M. Kessels, M. Leskelä, P. Poodt, M. Ritala, S. M. Rossnagel J. Vac. Sci. Technol. A 31, 050818 (2013) (http://dx.doi.org/10.1116/1.4816548)
  8. ^ Virtual project on the history of ALD: http://www.slideshare.net/RiikkaPuurunen/ald-historyproject-openintroductioncurrent, http://aldpulse.com/node/189
  9. ^ “How Atomic Layer Deposition Works” Applied Materials. https://www.youtube.com/watch?v=KOEsgZU1sts
  10. ^ Hans-Jurgen Butt; Karlheinz Graf; Michael Kappl (2013). Physics and Chemistry of Interfaces (Third, Revised ed.). ISBN 978-3-527-41216-7.
  11. ^ http://www.chem.qmul.ac.uk/surfaces/scc/scat2_3.htm
  12. ^ a b c d e f g h i j k l m n o p q r s t George, S.M. “Atomic Layer Deposition: An Overview” Chem. Rev. 2010, 110, 111-131. Department of Chemistry and Biochemistry and Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309.
  13. ^ a b Florian Werner; Walter Stals; Roger Gortzen; Boris Veith; Rolf Brendel; Jan Schmidt (2011). "High-rate atomic layer deposition of Al2O3 for the surface passivation of Si solar cells". Energy Procedia. 8: 1301–306.
  14. ^ a b c d H. Kim (2003). "Atomic layer deposition of metal and nitride thin films: Current research efforts and applications for semiconductor device processing". Journal of Vacuum Science and Technology. 21 (6). doi:10.1116/1.1622676.
  15. ^ “Fundamental Vacuum Beam Studies of Radical Enhanced Atomic Layer Chemical Vapor Deposition (REAL-CVD) of TiN” Dr. Frank Greer, Dr. D. Fraser, Dr. J.W. Coburn, and Professor David B. Graves, NCCAVS, December 12, 2002. http://www.avsusergroups.org/tfug_pdfs/TFUG_12_2002_Greer.pdf
  16. ^ Webster, S.G., Gobbert, M.K., Et. Al. “Parallel Numerical Solution of the Boltzmann Equation for Atomic Layer Deposition” Lecture Notes in Computer Science Volume 2400, 2002, pp.452-456.
  17. ^ Brown, S., Howe, J.Y., Ma, Z., Et. Al. “Surface Modification of Au/TiO2 Catalysts by SiO2 via Atomic Layer Deposition” The Journal of Physical Chemistry, C., 2008, 112(25) pp. 9448-9457.
  18. ^ Juppo, M. “Atomic Layer Deposition of Metal and Transition Metal Nitride Thin Films and In-Situ Mass Spectrometry Studies” University of Helsinki Department of Chemistry, Laboratory of Inorganic Chemistry. Dec. 2001.
  19. ^ A. Ahnd, Semicond. Int. 26, 46-51, 2003.
  20. ^ http://download.intel.com/technology/IEDM2007/HiKMG_paper.pdf A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging
  21. ^ K.E. Elers et al.,Chem. Vap. Deposition 4,149, 2002
  22. ^ T. Waechtler, et al., Microelectron. Eng. 88, 684-689 (2011) (Fulltext: http://nbn-resolving.de/urn:nbn:de:bsz:ch1-qucosa-68040; Publisher: http://dx.doi.org/10.1016/j.mee.2010.07.004)
  23. ^ https://ccrma.stanford.edu/courses/192a/6-Magnetic_Recording.pdf
  24. ^ http://smithsonianchips.si.edu/ice/cd/MEMORY97/SEC07.PDF
  25. ^ http://www.future-fab.com/documents.asp?d_ID=1669
  26. ^ Chen, Hong; Yuan, Lin; Song, Wei; Wu, Shongkui; Li, Dan (2008). "Biocompatible Polymer Materials: Role of Protein-surface Interactions". Progress in Polymer Science. 33 (11): 1059–1087. doi:10.1016/j.progpolymsci.2008.07.006.
  27. ^ Purniawan, A.; French, P.J.; Pandraud, G.; Sarro, P.M. (2010). "TiO2 ALD nanolayer as evanescent waveguide for biomedical sensor applications". Procedia Engineering. 5: 1131–1135. doi:10.1016/j.proeng.2010.09.310.
  28. ^ Pang, Changhyun; Lee, Chanseok; Suh, Kahp-Yang (2013). "Recent Advances in Flexible Sensors for Wearable and Implantable Devices". Applied Polymer Science: 1429–1441. doi:10.1002/app.39461.
  29. ^ Hill, Univ. of North Carolina at Chapel; J Zhang; C.M Shin; M. J Pellin; S. D Gittard; S. P. Curtiss; L. A Adiga; S.J Lin; Y.Y Su; J. W Elam; R. J Narayan; National Yang-Ming Univ; C.C Shih; Taipei Medical Univ. (2008). "Nanoporous Materials for Biomedical Devices". Biological Materials Science. 60 (3): 26–32.
  30. ^ Yasaka, Miho (2010). "X-ray thin-film measurement techniques". The Rigaku Journal. 26 (2): 1–9.
  31. ^ a b E Langereis; S B S Heil; H C M Knoops; W Keuning; M C M van de Sanden; W M M Kessels (2009). "In situ spectroscopic ellipsometry as a versatile tool for studying atomic layer deposition". Journal of Physics D: Applied Physics. 42: 1–19. doi:10.1088/0022-3727/42/7/073001.
  32. ^ a b c http://files.instrument.com.cn/FilesCenter/20060216/18273.pdf
  33. ^ http://www.svta.com/


Category:Chemical processes Category:Thin film deposition